Cadence Design Systems, Inc. (NASDAQ:CDNS) Q1 2025 Earnings Call Transcript April 28, 2025
Cadence Design Systems, Inc. beats earnings expectations. Reported EPS is $1.57, expectations were $1.49.
Operator: Good afternoon. My name is Tina and I will be your conference operator today. At this time, I would like to welcome everyone to the Cadence First Quarter 2025 Earnings Conference Call. All lines have been placed on mute to prevent any background noise. After the speakers’ remarks, there will be a question-and-answer session. [Operator Instructions] Thank you. I will now turn the call over to Richard Gu, Vice President of Investor Relations for Cadence. Please go ahead.
Richard Gu : Thank you, Operator. I would like to welcome everyone to our first quarter of 2025 earnings conference call. I’m joined today by Anirudh Devgan, President and Chief Executive Officer; and John Wall, Senior Vice President and Chief Financial Officer. The webcast of this call and a copy of today’s prepared remarks will be available on our website, cadence.com. Today’s discussion will contain forward-looking statements, including our outlook on future business and operating results. Due to risks and uncertainties, actual results may differ materially from those projected or implied in today’s discussion. For information on factors that could cause actual results to differ. Please refer to our SEC filings, including our most recent Forms 10-K and 10-Q, CFO commentary, and today’s earnings release.
All forward-looking statements during this call are based on estimates and information available to us as of today, and we disclaim any obligation to update them. In addition, all financial measures discussed on this call are non-GAAP unless otherwise specified. The non-GAAP measures should not be considered in isolation from or as a substitute for GAAP results. Reconciliations of GAAP to non-GAAP measures are included in today’s earnings release. For the Q&A session today, we would ask that you observe a limit of one question only. If time permits, you can re-queue with additional questions. Now I’ll turn the call over to Anirudh.
Anirudh Devgan : Thank you, Richard. Good afternoon everyone. And thank you for joining us today. I’m pleased to report that Cadence delivered excellent results for the first quarter of 2025. There is robust ongoing customer demand for innovative technologies. We exceeded our guidance on all key financial metrics, achieving 23% year-over-year revenue growth and 34% increase in non-GAAP EPS. Given this outperformance and the continued strength of our business, we are raising our financial outlook for the year. John will provide more details in a moment. Before diving in, I would like to share my perspective on the prevailing macroeconomic uncertainty. Semiconductors remain foundational to realizing transformative technologies such as hyperscale computing, 5G, and autonomous systems, all fueled by the AI megatrend.
We haven’t seen any shifts in customer behavior at this time, as they continue investing in their next-generation designs, recognizing that today’s R&D efforts are critical to deliver the groundbreaking products of tomorrow. Additionally, our ratable software business model, strong Q1 exit backlog, and a predominantly recurring revenue mix provide resilience and excellent visibility. Customers are increasingly relying on our product. As we execute our intelligent system design strategy and expand our differentiated end-to-end portfolio to serve a growing and diversified customer base. As the fast evolving AI landscape expands the market opportunities and reshapes the entire chip and system development process, our Cadence.AI portfolio delivers unparalleled PPA, productivity, and time-to-market benefits.
At GDC, we announced an expanded partnership with NVIDIA on their latest Grace Blackwell architecture. In addition to enabling up to an 80x acceleration of Cadence Solvers, we are collaborating on developing a full stack agentic AI solution for engineering and science, using the new Llama Nemotron Reasoning Model. We are also one of the first adopters of NVIDIA Omniverse Blueprint for AI Factory Digital Twins, advancing data center design and operational efficiency. In Q1, we expanded our footprint at several top-tier customers and furthered our relationship with key ecosystem partners. Last quarter, we announced a collaboration with Rapidus on 2-nanometer IP development. And this quarter, we are pleased to share that Rapidus has made a wide-ranging commitment to our core EDA software portfolio across digital, custom analog and verification solutions.
In Q1, we deepened our partnership with Socionext through a broad expansion of our EDA software, particularly AI-driven digital solutions along with our system software. We furthered our partnership with the [marquee] (ph) hyperscaler through a broad proliferation of our digital and verification software, particularly our AI-driven Cadence Cerebrus and Verisium solutions. We expanded our collaboration with Intel Foundry by officially joining the Intel Foundry Accelerator Design Services Alliance. From systems on chip to advanced IP for AI and HPC applications. Cadence’s inclusion in the Alliance helps Intel Foundry customers remain at the forefront of innovation. Now let’s talk about key product highlights for Q1. Our IP business grew 40% year-over-year in Q1.
As we continue to benefit from the strong market opportunities offered by AI, chiplet-based architectures, and the foundry ecosystem build out. We secured a major expansion at a global marquee system company for our AI, HPC design IP and deepened our partnership with a major foundry through their commitment to our memory and interface IP. Following our pending acquisition of Secure-IC last quarter, we continued to build out our design IP portfolio. And earlier this month, we entered into a definite agreement to acquire Arm’s Artisan foundation IP business. A Tensilica DSP is the de facto choice for automotive ADAS and infotainment systems and continued being widely integrated into vision, radar, lidar, and audio systems for the autonomous driving, as well as emerging robotics use cases.
Our core EDA revenue grew 16% year-over-year in Q1, with further proliferation of our digital full flow at the most advanced nodes. Cadence Cerebrus AI solution continued its strong momentum with nearly 50 new logos in Q1 and well over a 1,000 tapeouts till date. Engineering Change Orders or ECOs are critical part of any design process. AI is particularly suitable to dramatically improve ECOs. Using the new Cadence Conformal AI ECO Flows, MediaTek saw early positive results, generating 83% smaller ECO patches in nearly half the runtime. MediaTek also improved runtime and memory by 100 times, through its deployment of conformal AI low power. Our collection of new smart ECO technologies has spread up Renesas automated functional ECO run times by more than 50%, while improving quality.
Our flagship Virtuoso platform, the industry’s gold standard for advanced node custom analog and mixed signal design, continue to expand into new areas, such as photonics and circuit and yield optimization. Ever increasing complexities in system verification and software bring up continue to drive secular demand for market leading Palladium Z3 and Protium X3 platforms. Demand for hardware was broad-based with particular strength driven by AI, HPC, and hyperscaler customers. Our hardware products continue to proliferate at existing customers, especially top hyperscalers, while gaining notable competitive wins, including a market-shaping semiconductor company. Our verification software suite that includes Verisium, Xcelium, and Jasper, leverages big data and AI to optimize verification workloads and saw continued expansion across aerospace and defense, electronics, and automotive segments.
Our system design and analysis business delivered more than 50% year-over-year revenue growth in Q1. As our AI-driven optimization solutions integrated with our physics-based simulation platforms continue to deliver superior results across multiple end markets. Our Digital Twin Reality Data Center product gained momentum, signing multiple deals with large hyperscalers and cloud service providers in Q1. BETA CAE delivered a strong quarter and is opening up tremendous opportunities for us in the automotive vertical. Allegro X’s omniverse integration was highlighted at GTC with photorealistic 3D views of a full multi-board system designed in Allegro X. Our AI-driven substrate router, the industry’s premier solution for full package routing of complex 3D IC designs, saw strong customer interest and engagement during the early adopter program.
In summary, I’m pleased with our Q1 results and the continued momentum of our business. The growing complexity of chip and system design, coupled with the transformative potential of AI-driven automation, creates significant opportunities for our products to enable and empower our customers. In addition to our strong business results, I’m proud of our high-performance inclusive culture and thrilled that Cadence was recently named by Fortune and Great Place to Work, yet again as one of the 100 best companies to work for. Ranking #11. Now I will turn it over to John to provide more details on the Q1 results and our updated 2025 outlook.
John Wall : Thanks Anirudh and good afternoon everyone. I’m pleased to report that Cadence delivered excellent results for the first quarter of 2025 with broad-based strength across all of our businesses. Robust design activity and customer demand drove 23% year-over-year revenue growth and 42% non-GAAP operating margin for Q1. We are tracking ahead of our original forecast for 2025. We repurchased more Cadence shares than initially planned in Q1, which reduced our share count. Here are some of the financial highlights from the first quarter starting with the P&L. Total revenue was $1.242 billion. GAAP operating margin was 29.1%, and non-GAAP operating margin was 41.7%. And GAAP EPS was $1 with non-GAAP EPS $1.57. Next turning to the balance sheet and cash flow.
Cash balance at quarter end was $2,778 million, while the principal value of debt outstanding was $2,500 million. Operating cash flow was $487 million. DSOs were forty four days and we used $350 million to repurchase Cadence shares. Before I provide our updated outlook, I’d like to share the assumption that is embedded. It contains the usual assumption that export control regulations that exist today remain substantially similar for the remainder of the year. Our updated outlook for 2025 is revenue in the range of $5.15 billion to $5.23 billion. GAAP operating margin in the range of 30.25% to 31.25%, non-GAAP operating margin in the range of 43.25% to 44.25%. GAAP EPS in the range of $4.21 to $4.31 non-GAAP EPS in the range of $6.73 to $6.83, operating cash flow in the range of $1.6 billion to $1.7 billion, and we expect to use at least 50% of our annual free cash flow to repurchase Cadence shares.
With that in mind, for Q2, we expect revenue in the range of $1,250 million to $1,270 million. GAAP operating margin in the range of 27.5% to 28.5% non-GAAP operating margin in the range of 41.5% to 42.5% GAAP EPS in the range of $0.89 to $0.95 and non-GAAP EPS in the range of $1.55 to $1.61. And as usual, we published a CFO commentary document on our Investor Relations website, which includes our outlook for additional items as well as further analysis and GAAP to non-GAAP reconciliations. In conclusion, Cadence is off to a strong start to the year. We are raising our 2025 revenue and EPS outlook. Our technology platform is essential to customers’ R&D investment and our resilient software model positions us well in navigating today’s dynamic macro environment.
I’d like to close by thanking our customers, partners and our employees for their continued support. And with that operator, we will now take questions.
Q&A Session
Follow Cadence Design Systems Inc (NASDAQ:CDNS)
Follow Cadence Design Systems Inc (NASDAQ:CDNS)
Operator: [Operator Instructions] Your first question comes from Harlan Sur with JPMorgan. Please go ahead.
Harlan Sur: Good afternoon. Thank you for taking my question. Good to see the strong mid-teens percentage year over year growth in the core EDA business. On your China business in particular, the team did continue to see year-over-year growth acceleration, right, to plus 13% in the March versus 10%, back in the December. On top of this, you know, tariff, trade, regulatory dynamics are, I think driving more focus on domestic China chip design programs, especially in AI. Like we’ve heard, for example, ByteDance engaging on new custom AI chip programs. We’ve heard Alibaba as well in automotive, there continues to be more domestic China design programs firing both analog, power management and digital. So is this domestic focus and design activity, a potential tailwind for your China business? Are you getting more optimistic on a growth profile for your China business this year versus the team’s prior view of flattish?
Anirudh Devgan : Hi, Harlan, great question. So first, I would like to say I’m pretty pleased by our performance in Q1. And the strength is coming in all the various product groups and also all the various geographies, like you mentioned. And the reason is even in this kind of dynamic macro environment, the customers are investing for the future and these R&D investment takes months to years. And as you know, we are tied to the design activity, which is strong. Now in China or actually in multiple countries, the AI development is taking multiple cycles. I mean not just — as you know, not just the development of data centers, but I’ve said for a while now that also the development of physical AI systems which is autos and robots and drones.
And China is particularly strong in that as well. So overall, I think we are pleased with the silicon and system development driven for AI. I’m pleased with the performance of the China business and the start of the year, but we are still prudent assuming China flat for the whole year. John, do you want to comment on that?
John Wall : No, I think that’s the important point that design activity remains strong in China, but we are continuing to be prudent with the guide for the year and continue to assume that China revenue is flat year-over-year at the midpoint of that guidance.
Harlan Sur: Okay, thank you very much.
Operator: Next question comes from Lee Simpson with Morgan Stanley. Please go ahead.
Lee Simpson : Great. Thanks for putting me and great quarter to everyone. Thanks. I mean, as we all know, your main offering is a software and services product, which may not have the same risk from reciprocal tariffing regimes that other parts of semiconductor ecosystem does. And I think if you look at your hardware chip, it’s an ASIC fab TSMC. So I guess one assumes this is only a limited exposure to tariffing into China. I guess what I’m asking more generally is, can you confirm if at all, at this point, where you think you do have any exposure to the tariffing regime announced by the U.S. government, at least as you currently understand things? Thanks.
Anirudh Devgan : Yes. So with respect to tariffs, I mean, there are 2 parts to it, like, one is the customer behavior, which I mentioned, we don’t see any change at this time. The second is in our own products, and like you said correctly, software and services are not subject to tariffs. Now in terms of our hardware business, just to remind everyone, we do have multiple manufacturing lines in U.S. and outside U.S. And this was something we did as part of COVID a few years ago. So that’s paying off well. So at this point, we don’t believe that given our diversified supply chain, the tariffs will have effect on our hardware business as well, okay? Now on the other hand, we continue to monitor the situation. It is a dynamic situation.
But I feel that we are more resilient for multiple reasons. One is that we are tied to the design cycle, we don’t see that much change. Second is we are very diversified, both in terms of products and geographies. And third, we have our business model, it is a ratable business model. So even in these uncertain times, we feel that we have enough confidence and visibility to raise our outlook for 2025.
Lee Simpson : That great. Thanks.
Operator: Your next question comes from the line of Gary Mobley with Loop Capital. Please go ahead.
John Wall : Yes, Gary, you might be on mute.
Gary Mobley : Yes. Sorry, guys. Thanks for taking my question. This question might be more for Anirudh. And the question is, is more and more tools that you sell like simulation, synthesis, place and route, et cetera, run on generation-based compute and running GPU-based servers. How does this impact your licensing model? And more specifically, how does it potentially affect your annual contract value?
Anirudh Devgan : Okay. That’s a good question. I think you mean that as we enrich our hardware — the hardware that our software runs on, how does it affect our business model. So actually, first of all, I’ve talked about this three-layer cake for a while, right, which is the bottom layer of the cake being accelerate compute. So in the old days, we used to run on CPUs, mostly x86 CPUs. But now we run on all kind of CPUs, including ARM, and then GPUs, especially with our collaboration very strong collaboration with NVIDIA. And then for hardware, we have our own kind of Boolean processor because GPUs are excellent for numerical calculation, like circuit simulation or CFD or electromagnetics, but for [0-1] (ph) kind of Boolean calculation, we make a special chip in palladium, right, which is a bullion supercomputer.
So as a reason we have a very rich kind of set of offerings on the hardware side, now on the — how we go to market, we maintain our previous model in which you can subscribe to our — like the traditional licensing model which is typically early license over a 3-year term. But also, we are offering more and more cloud solutions, okay? And there is an uptick in cloud offering. Now sometimes the cloud could be on public clouds. So then access to CPUs, just like AWS has ARM CPUs and other cloud vendors and also GPUs. But we also have our own cloud offering through outsourced data centers, which are actually seeing good availability. So in our own Cadence, all the cloud, we also are packaging hardware and software together. A good example is Millennium.
Just like we do with Palladium for our own chips. So I think this is a new kind of a business model to see how the customers will react. So we have the existing business model of software only, and then there is cloud SaaS model, especially as the hardware gets more rich in its offering.
Gary Mobley : Thank you.
Operator: Our next question comes from Vivek Arya with Bank of America. Please go ahead.
Vivek Arya : [Technical Difficulty] tools. And Anirudh I wanted to check what is Cadence’s share gain opportunity because when I look at your main peer, they have double-digit sales exposure at Intel. And I’m curious, what is Cadence’s exposure how soon can we start to see any potential share gains? Because these kind of relationships tend to be sticky. Just how large is the opportunity? And how soon can it start to show up tangibly for Cadence? Thank you.
Anirudh Devgan : Hi, Vivek. Great question. I think your question is on Intel because I missed the beginning part of the question. But first of all, I’m very pleased by Lip-Bu’s appointment as CEO of Intel. And for Cadence, you know for a while, I think our Intel has been a — I would say, a weak spot, relatively speaking, for Cadence. And this goes back 10, 15 years, it’s a new issue. And then the other thing has been our investment in IP. So in general, I’m pleased with the — so both of these 2 areas has been the main kind of areas to improve for Cadence IP and Intel. So IP, I think I’m pleased to see improvement last year and also in Q1, and I feel good about our IP business in general. And on Intel, I mean, this is a great opportunity as they have to, of course, reformulate their strategy and how they work with their ecosystem partners, and we look forward to engaging with Intel in a lot more detail.
And those discussions have already started, and we’ll keep you updated as they progress.
Operator: Your next question comes from the line of Gianmarco Conti with Deutsche Bank. Please go ahead.
Gianmarco Conti : Yes. Thank you. Congratulations on another great quarter. I guess, could you perhaps shed some detail into your hardware deliveries this quarter and whether you’re seeing the demand for the third generation in-line with what was expected, especially in customers except in the higher pricing in this environment. And also, I know that you’ve briefly mentioned about it not having any impact from tariffs. But could you share a few words on your Mexico plans where you assemble your hardware and whether that could be a potential issue from a capacity production standpoint were the tariffs being enacted on that front? Thank you.
John Wall : Gianmarco, great questions. The — generally, our hardware revenue is limited by our production capacity because demand continues to outstrip our ability to supply the hardware products. And as Anirudh mentioned earlier, we have a very resilient and agile supply chain capability, and that lessens the direct impact of tariffs. We produce and build hardware for the U.S. market in the U.S. and for the international market outside the U.S. So that gives us kind of an optimized setup for hardware. So we’re not seeing any real direct impact of tariffs in our numbers right now.
Gianmarco Conti : Amazing. Thank you.
A – Anirudh Devgan : Also just to emphasize, we have multiple lines, right, not just the one you mentioned, so.
Operator: Your next question comes from the line of Jay Vleeschhouwer with Griffin Securities. Please go ahead.
Jay Vleeschhouwer : Thank you. Good evening. Anirudh, what would you say are the two or three most important technical or product enhancement deliverables this year that may have already occurred or yet to occur this year, even if not with an immediate impact on revenue, but in terms of enhancing your overall technical capabilities or positioning? And then related to that, maybe you could talk about some of the investments you are making in some critical technology areas that may not get a lot of attention, one being, for example, physical verification — your physical verification, maybe the next-generation silicon for hardware, Gen 4? And then lastly, maybe talk about some of the incremental investments you are making in your acquired simulation solver products.
Anirudh Devgan : Jay, that’s a great question. And it is a multipart answer. But just to highlight a few key things. I mean, first of all, before I get into specifics, I mean you know this, but just to emphasize, we have a massive investment in R&D, right? I mean like 35% to 40% of our revenue is going to R&D. So at a given point, we always have a lot of exciting projects that are ongoing. Now in terms of a few themes that are critical, so of course, AI is supercritical and applying AI to the chip and system design process, I think we are seeing a lot of very good results. Actually, one thing on something like Cerebrus or AI-driven design tools. At this point, we expect that the majority of — more than 50% of the designs are now AI-enabled.
Our tools are AI-enabled in those designs. And the others, I’m sure, will catch up as well. So — but AI still has a long ways to go with all these new agentic features, and you will see us talk more about it throughout the year. So that’s the number one thing I would like to highlight, and we have these five major platforms and all kinds of new models can be used in those. The second thing, which is also a pretty broad team, which makes sure we always state head is 3D-IC, okay? I mean 3D-IC is a big theme, another theme for next 5, 10 years. And you can see that in Blackwell. But right now, the packages can handle like 3 times reticle size, but they could easily go to 10 times reticle size in the next few years. So there’s massive investment in 3D-IC in terms of the tool flow, in terms of analysis of these complex system, thermal and electromagnetic warpage analysis.
Of course, IP that is needed for high-speed SerDes and UCI and other things. So 3D IC remains as a critical focus for both our chip business, system business and IP business. And last thing is like, I think Gary asked earlier, is this rich hardware set, this bottom layer of the cake with ARM CPUs, x86 CPUs, Palladium hardware and GPUs. And we’ll have some more developments next week at Cadence Live about that part. This hardware software co-optimization, I think is going to be critical for our industry. So those are the 3 things I would highlight as top critical R&D focus area.
Jay Vleeschhouwer: Okay, thanks Anirudh.
Operator: Your next question comes from the line of Jason Celino with KeyBanc Capital Markets. Please go ahead.
Jason Celino : Great. Thank you. Keeping to this IP topic, I think you planned to acquire Arm’s Artisan IP asset. I know this hasn’t closed yet. But when we think about the foundational IP market, just curious why hasn’t this been a bigger focus in the past and what’s changed to make this opportunity more attractive? And then just to clarify with John, this pending acquisition is not included in guidance. Thank you.
John Wall : Yes. So let me take the second part first, Jason, just to clarify that, yes, it is not in our current guide. It’s not closed yet. So we haven’t put that into the guidance.
Anirudh Devgan : And then, yes, I was actually pleased with this partnership and acquisition. Arm Foundation IP business has a very rich history, as you know, we started with Artisan a long time ago and has very good credibility in the market. And also as our IP position improves with our kind of performance over the last 2 years, we also have to expand our portfolio, and I’m pleased with the performance and PPA of the current portfolio, but we have to fill in the gaps in our portfolio. And that’s the reason we for example, acquired Rambus’ IP business and then acquired Secure-IC a few months ago. And this is a critical piece. Foundation — and it is becoming more and more critical versus 5 years, 7 years ago. Foundation IP became a lot more critical, especially in the interaction with the software, with place and route.
Also, the there is a massive, as you know, foundry build-out, not just — there are multiple foundries in multiple countries, and they all require Foundation IP. So that’s the other reason I feel that Foundation IP is much more critical now. So it is a combination of Foundation IP being more critical need to broaden our IP portfolio work with our software tools. And this opportunity came along to acquire a leading product in that space because we didn’t want to enter the space with not a good product, but ARM’s Artisan business has a good history, great product. So we hope to finish that acquisition later in the year and talk to our customers about that.
Jason Celino: Okay, thank you.
Operator: Your next question comes from the line of Joe Quatrochi with Wells Fargo. Please go ahead.
Joe Quatrochi : Yeah, thanks for taking the question. You mentioned that you were developing a full stack Agentic AI solution. I was wondering if you could talk about just the areas of the design workflow you are targeting first with that?
Anirudh Devgan : Great question. I mean it could be applied to almost all the design process. But sums that are super critical in terms of need, of course verification is always critical because verification of course, we have great products with both hardware and software, but you never know when you are done with verifications, it’s like an NP-complete problem. And so if you really look at now our SimAI offering, which is — works with our logic simulator can improve performance by 5 times to 20 times, we have all these several customer endorsement — public consumer endorsements for applying CMAI to verification. So I think verification to me is a great area for Agentic AI and then implementation is always a good area like things like Cerebrus can be further enhanced, and you will see more and more developments from us digital design and Agentic AI pretty soon.
So I would say that’s the second area. And then one area that traditionally has not seen that much automation, but is becoming more and more critical is package and PCB design, Allegro, an Allegro X and Allegro X AI. Actually I’m very optimistic that as pack design becomes super critical and package is the critical thing in a 3D-IC system. It is 3D-IC is another name for system in a package. So there is a more need for automation in packaging and automation in PCB design. So I’m actually pretty optimistic that AI can finally provide automation in packaging. And then I would say, analog migration, another big area and analogs like art plus science, but AI can also help in analog. So I think these are the three or four key areas that we will work on.
But the scope of Agentic AI is throughout our product spectrum.
Joe Quatrochi: Very helpful. Thank you.
Operator: Your next question comes from the line of Joe Vruwink with Baird. Please go ahead.
Joe Vruwink : Thank you. This might be an overly simplistic question relative to those before me. But your commentary and guidance strikes me as having greater visibility into strength during the second half of this year than, maybe what’s apparent just in our seat when analyzing the size of the 1Q beat or even your quarter-end backlog value. Can you maybe just speak to some of the things that changed for the better in the last 60 to 90 days that make you feel better about the 2025 outlook?
John Wall : Yes, Joe. I will take that one. The — yes, I mean, we are tracking ahead of our original forecast for 2025 with solid Q1 results. We only gave you the guide a few weeks ago for the year. So not a huge amount has changed. I think we have had a bit more strength in our recurring revenue. And of course, as you know, recurring revenue — any beat in recurring revenue feeds through the rest of the year. So a small beat in Q1 kind of follows through in Q2, Q3 and Q4. And we were, like I said ahead of the original forecast, even with including building in an expectation that will pick up some slightly higher expenses on the tariff side. But we are still resilient on the tariff side, we felt it was right to raise the price.
Joe Vruwink : Okay, thank you.
Operator: Your next question comes from the line of Joshua Tilton with Wolfe Research. Please go ahead.
Joshua Tilton : Hi, guys. Can you hear me?
John Wall : Loud and clear, Josh.
Joshua Tilton : Great. Thank you for sneaking me in. I always love it when the guy before you kind of steals your question. But I guess, just to put a finer point on things, I think Anirudh, you mentioned that the guidance still assumes that China is flat for the year. You guys are being prudent. I guess my question to that is, I understand there was an easier comp in 1Q, but year-to-date, are you guys seeing anything that suggests that China should actually grow flat for the year? Or I guess another way of saying it is, is the decision to keep China flat for the year and the guide prudence or more along the lines of your expectations of how things will shake out by the end of the year? Thanks.
John Wall : Yes, Josh, I’ll take that one as well. I think it’s more prudent that we thought it’s wise to remain prudent in the current macro environment. I think we’re very resilient in this environment. I mean, a company with high gross margin and essential products should do well in this type of environment. But the bookings were really solid in Q1. We had stronger bookings than expected. Kind of fed into with more recurring revenue bookings as well. But — and that fell into us tracking ahead of the original forecast for 2025. So we thought the right thing to do was raise the guides.
Joshua Tilton : Super, helpful guys. Thank you.
Operator: Your next question comes from the line of Siti Panigrahi with Mizuho. Please go ahead.
Siti Panigrahi : Hi, thanks for taking my question. Anirudh, if you see a lot of news going around, we saw DeepSeek early this year. Wondering how that’s impacting the design velocity or even how you see the compute capacity will change. But at the same time also, we are seeing hyperscaler trying to push their data center build out. Are you seeing any kind of impact there? So would love to hear your views on this.
Anirudh Devgan : Yes, Siti, that’s a great question. I mean this is a big question. Let me try to — I mean it’s a critical thing for the whole industry. I mean first of all, I mean, when I talk to customers, DeepSeek is one advancement. I mean a lot of customers expect multiple advancements like this. The AI will get more and more efficient as — and this happened if you look at even EDA’s history, right, when AI started off, it was more like dense competition. And if you look at EDA history in the ’70s, we started off with dense competition, and then we have Sparse computing. We have partitioning. We have hierarchy and latency, they are all cancer computer science methods that will be applied to AI, okay? So I believe that there will be multiple DeepSeek moments, not just 1 DeepSeek moment.
But at the same time, the AI will get more and more prevalent in its use. And this happened in CPUs. I expect same thing to happen with AI and GPUs. So in terms of when we talk to customers. Now first, I have to remind you that we are on the design side, not on the production side. But in terms of design, we only see acceleration of more and more designs to do AI even on the data center side. And of course, NVIDIA is a great partner of ours, and I think NVIDIA is doing exceptionally well, but also a lot of the other hyperscalers, all the 4 or 5 major U.S. hyperscalers and then companies in other countries, are also investing heavily in their own designs, and we are glad to work with them. And then I mentioned before, the physical AI part of it, which is cars, planes and drones, is also there’s a lot of design activity.
Now even though the car market may not be as strong, but that’s for current products, but they are designing for future products, which will be AI-enabled. And then the other thing, as AI gets more efficient, I think there will be more inference use. So there is a lot of design of insurance chips to support that. So right now in terms of design activity, I feel pretty good. And like I was mentioning before, even on the data center side, the 3D-IC is going to cause a massive change in complexity. Right now, we have Blackwell with like two kind of big dies with HPM, but the packaging road map is very aggressive by all foundries. So I think that is also going to drive new and new AI designs with putting more kind of big chips in packages and that should also drive AI performance and efficiency.
So right now, we don’t see any big change in terms of the design activity for AI.
Siti Panigrahi: Thanks for that color.
Operator: Your next question comes from the line of Nay Soe Naing with Berenberg. Please go ahead.
Nay Soe Naing : Hi, thank you for taking my question. Minus on your SG&A segment, please. a very impressive quarter in Q1. And I was just trying to understand if you could maybe share where the stat is coming on, especially when we put it against the product market and your peers in the last 3 years, you’ve been growing at 2 times to 3 times what your competitors have been able to do. So I was wondering if there are any competitive market wins that’s driving your performance, if not, what are the reasons, please? And maybe if I could squeeze in a part — a second part of this question. The solid performance we’ve had in the past, does it — any chance at all create any headwinds for you or tough comp for you going forward? Thank you.
John Wall : Thanks for the question. And you’re right to raise the comps, because I think one of the benefits for Q1 is that Q1 ’24 was a pretty easy comp for us on the SG&A side. When BETA performed well in Q1 ’25, but we only acquired that in the middle of the year last year. So I think the first time BETA turned up in our results was Q4. And then BETA is providing a huge amount of pull-through business for Cadence. So Cadence products are being sold alongside BETA products, and that’s really helping us for growth. We’re expanding reach to the longer tail customers through our e-commerce model. And we’ve seen strength in automotive and aero and defense. And I don’t know, Anirudh, do you want to add anything about BETA?
Anirudh Devgan : BETA is performing great and also it has a pull-through to other parts of our portfolio. One thing we are always confident. And I think you’ve seen some benchmarks in the industry in the past that our R&D products are solid. They are rewritten. They are — they have no accuracy loss. They have much better performance because of massive parallelism whether it is on CPUs or GPUs. So we are always confident on our product side, and we continue to innovate there. I think what BETA helps is to increase the reach of those products because they are working with all the auto companies. And so not only we can benefit from performance of beta, but also can sell our other products to those customers. And then we also improved our cloud offering and go-to-market with indirect channel. So I think you are seeing some benefits of that, better go-to-market operation on the system side.
Nay Soe Naing : Okay, thank you.
Operator: And our next question comes from the line of Ruben Roy with Stifel. Please go ahead.
Ruben Roy : Thank you for allowing me to ask question. Anirudh, I had a similar question to Jason’s on IP. And you touched on this in your answer to that question. But just wanted to make sure I understood in terms of sort of these, I’d say, accelerated pace of recent tuck-ins on the IP side. It sounds like they are opportunistic rather than customer-driven, wanted to make sure I got that right. And then the second part of the question is just maybe if you can update sort of how you’re thinking about IP in terms of longer-term growth from that segment given all that’s going on with foundry and customers, et cetera. Thank you.
Anirudh Devgan : Yes. Great question. So I think in terms of IP, there are multiple factors. So one is our performance is better. The portfolio we had, especially the PPA, power performance in the area for TSMC, the most advanced foundry. The IP is just much better quality and performance. So and that’s driving customer demand. So the customers want us to want get IP from us and want us to have a bigger portfolio, okay? So as a result, we do, of course, invest organically, and we will do in acquisition if we see a good fit, okay? But it’s both a combination of what is available, but the customers are definitely driving us to broaden our portfolio. So that’s one. Second thing is there is more and more foundry. So we are glad with our partnership with TSMC, but we highlighted even this time like Rapidus making massive investments.
And of course, Samsung and Intel, and we are glad to. So there are at least four major foundries at advanced node along with all the foundries like Global and UMC at more mainstream nodes. So I think the foundry ecosystem is rich and that provides more opportunities in IP. And then the third thing, of course, is AI and 3D-IC and all this die-to-die IT. So for all these three reasons, I’m optimistic. We are performing well. We are increasing our portfolio. The market wants more IP from us. So I expect IP to — of course, we had a good year last year, we had a very good quarter, Q1. So I expect IP to grow better than Cadence average going forward.
Ruben Roy: Perfect. Thank you.
Operator: Our final question comes from the line of Blair Abernethy with Rosenblatt Securities. Please go ahead.
Blair Abernethy : Thanks for squeezing me in guys. And nice quarter. Anirudh, just wondering if you could give us sort of high-level thoughts on the data center digital twins. You bought future facilities back in July ’22, there seems to be getting some traction out there. I just want to see how you feel about the size of the TAM, the size of the opportunity there with that asset and sort of — are there expansion opportunities beyond data centers?
Anirudh Devgan : Absolutely. The two areas that I have been very excited about, especially on the system side, one is, which is very close to the package, like I mentioned thermal, electromagnetics, warpage because there is a massive transformation right next to the chip. And we are in a very strong position with Allegro and all the analysis tools. The second area is all the way at the data center level. That’s why we acquired future facilities. And actually, it had a very strong Q1 and we also have a great partnership with NVIDIA there. NVIDIA is designing their data centers with our Cadence Reality Digital Twin and also working with joint partners, as we go to market with them together on Cadence Reality. And that opportunity is huge.
I mean, even we apply it to our own IT group, for example, we have our own data centers, right? So the product is general enough to be used in any data center and we saw like a 10% improvement in power, which is huge. And because typically, that’s the area that has not seen a lot of analysis and automation, because it is typically done in like a typical construction kind of work whereas chip design is very analytical mathematical. So that area needs more kind of optimization and simulation. So I am optimistic. And then the way Cadence Reality works, there is a design side of it, in which we help customers design the data center. And then there’s the operations side of it, in which we can analyze on the fly, use the Digital Twin to optimize their operation.
So if you include both sides, I mean, the opportunity is huge. So — but we are still kind of prudent in our assumptions like we do in everything, but I’m very optimistic about these two extremes. One is all the way to data center and second is all the way very close to the chip on the package. And that’s where you see us investing yes.
Blair Abernethy : Great. Thanks very much for the color.
Operator: I will now turn the call back over to Anirudh Devgan for closing remarks.
Anirudh Devgan : Thank you all for joining us this afternoon. It’s an exciting time for Cadence as our broad portfolio and product leadership ideally positions us to maximize the growing opportunities in the semiconductor and system industry. And on behalf of our employees and our Board of Directors, we thank our customers, partners and investors for your continued trust and confidence in Cadence.
Operator: Thank you for participating in today’s Cadence’s first quarter 2025 earnings conference call. This concludes today’s call. You may now disconnect.